banner
News center
Choice materials, thorough quality checks.

Controlling resistive switching behavior in the solution processed SiO2

Dec 19, 2023

Scientific Reports volume 12, Article number: 8405 (2022) Cite this article

936 Accesses

2 Citations

Metrics details

The resistive switching behavior of the solution processed SiOx device was investigated by inserting TiO2 nanoparticles (NPs). Compared to the pristine SiOx device, the TiO2 NPs inserted SiOx (SiOx@TiO2 NPs) device achieves outstanding switching characteristics, namely a higher ratio of SET/RESET, lower operating voltages, improved cycle-to-cycle variability, faster switching speed, and multiple-RESET states. Density functional theory calculation (DFT) and circuit breaker simulation (CB) were used to detail the origin of the outstanding switching characteristic of the SiOx@TiO2 NPs. The improvement in resistive switching is mainly based on the difference in formation/rupture of the conductive path in the SiO2 and SiO2@TiO2 NPs devices. In particular, the reduction of resistance and lower switching voltage of TiO2 NPs control the formation and rupture of the conductive path to achieve more abrupt switching between SET/RESET with higher on/off ratio. This method of combined DFT calculation and CB offers a promising approach for high-performance non-volatile memory applications.

Ongoing research on the resistive random-access memory (ReRAM) has allowed outstanding performance that includes non-volatility, fast switching speed, and low power consumption1,2,3,4,5,6,7. Recently, ReRAM has attracted much interest as a promising candidate for next-generation non-volatile memory, and displays suitability for applications such as neuromorphic electronics8,9,10,11. The binary-metal oxide resistance switching characteristics have been extensively studied for an active layer, including TiO2, Ta2O5, ZnO, SiO2, and HfO2, due to their simple compositions with adjustable stoichiometry2,12,13,14,15. Among them, the device with amorphous form of SiOx that is constructed as an active layer sandwiched between electrodes shows remarkable resistive switching behavior and transparency16,17,18,19. SiOx is known to have relatively low variability and outstanding stability, which properties lead to a high resistance window for sufficient read margin between high resistance state (HRS) and low resistance state (LRS)11,20,21. Meanwhile, the SiOx-based resistive switching devices have achieved superior switching characteristics and reliability by using several device architectures that include the nanopillar-structured SiOx fabricated with nanosphere lithography, exposed sidewall etched into the SiO2 layer, and nanoporous SiOx-based memory structures14,22,23. In addition, the modulation of resistive switching properties is obtained by combination with an additional layer or insertion of structures into the SiOx-based matrix16,24,25,26. As known, most research efforts are mainly based on firms that fabricate using atomic layer deposition (ALD), plasma-enhanced chemical vapor deposition (PECVD), electron-beam evaporation, and magnetron sputtering, which need vacuum techniques that are complicated and expensive21,27,28,29. Among various preparation methods as substitute for vacuum techniques, the solution process has shown superiority in its facile process, cost-effectiveness, applicability to various substrates, and adaptability to combination with several compositions or structures30,31. In addition, it is easy to insert the nanostructures into oxide matrix during the synthetic process, and this simple method is expected to control the characteristics of the switching performance.

Herein, we demonstrate a simple method using the insertion of TiO2 nanoparticles (NPs) to improve the resistive switching characteristics in terms of multi-level resistive switching performance of solution processed SiOx-based ReRAM. TiO2 NPs inserted SiOx (denoted as SiOx@TiO2 NPs) shows superior resistive switching characteristics that include the higher ratio of SET/RESET states, lower SET/RESET voltages, and voltage controllable RESET state by applying external voltage, compared to the pristine SiOx. Furthermore, the resistive switching behavior is discussed by analyzing the electronic structure, as well as circuit-breaker simulation and theoretical calculation. These challenges are expected to make great contributions to the development of next-generation electronic devices.

Figure 1a schematically illustrates the cross-bar array architectures of the SiOx and SiOx@TiO2 NPs resistive switching devices. And, to observe the cross-sectional information of both devices, TEM is measured as shown in Fig. 1b. The TEM image of the SiOx device shows that the ITO/SiOx/ITO structure is sequentially stacked, and the interface of TE/SiOx is clearly formed. In contrast, the SiOx@TiO2 NPs device shows the slightly rough interface of TE/SiOx@TiO2 NPs, which is related to the insertion of TiO2 NPs profoundly affecting the roughness of SiOx@TiO2 NPs. To examine the composition of the SiOx and SiOx@TiO2 NPs device structures, ToF–SIMS was measured from top to bottom electrode during O ion sputtering with 2 keV. Figure 1c shows that the spectra of ToF–SIMS can be divided into three regions; the first region is only ITO (top electrode), the second region is the SiOx or SiOx@TiO2 NPs layer, and the last region is ITO (bottom electrode). For the SiOx switching device, Si+ is increased in the second region, while In+ and Sn+ are drastically decreased. O+ is continuously detected in all regions, because oxygen is included in all layers. Ti+ is not detected in the first and second regions. In the third region, Ti+ is found due to the glass substrate, thus it can be negligible32. In the case of the SiOx@TiO2 NPs device, the behaviors of Si+, In+, Sn+, and O+ are almost similar to those of the SiOx device. However, a considerable quantity of Ti+ is detected in the second region, and we can recognize that the TiO2 NPs is well inserted into the SiOx matrix.

(a) Schematic structures of the cross-bar array architecture, (b) the cross-sectional TEM images, and (c) depth-profiling with ToF–SIMS of the SiOx and SiOx@TiO2 NPs resistive switching devices.

Figure 2 shows the compositions and chemical bonding states of the SiOx and SiOx@TiO2 NPs films by using XPS measurement. Both films are composed with O, Si, and a small amount of C, and Ti is included to ~ 1.5% in the SiOx@TiO2 NPs layer, as shown in Fig. 2a. To elucidate the chemical bonding states, the core-level spectra of Si 2p and O 1 s were normalized, and deconvoluted into Gaussian peaks. In the case of O 1 s, it is composed with three Gaussian peaks according to Si–O bonds (O1s), oxygen deficient states (O2), and hydroxyl groups (O3), as shown in Fig. 2b and c26,33. The prepared SiOx and SiOx@TiO2 NPs films have a lot more O2 and O3 states than does the conventional SiO2 film. Generally, this is related to the solution processed SiOx obtaining a large amount of defect states, such as oxygen vacancies or OH groups, which affects the stoichiometry of the SiOx (x < 2)26,28. In the Si 2p spectra, the regular SiO2 (Si4+) and oxygen deficient SiO2-x (Si3+) are indicated, as shown in Fig. 2b and c34,35. The prepared SiOx films synthesized by solution process show higher composition of oxygen vacancies than the SiO2 synthesized by vacuum process (thermal oxidation or chemical vapor deposition). In general, the amount of oxygen vacancies is expected to change due to the difference in bond dissociation energy in the TiO2 NPs inserted SiOx system27,36. However, in our system, the chemical bonding states are almost similar, due to the small amount of TiO2 NPs in SiOx matrix. Therefore, the change of the chemical bonding states of SiOx is imperceptible.

(a) XPS survey (left), and the enlargement of Ti 2p range (right), for the SiOx@TiO2 NPs. Core-level spectra of O 1 s and Si 2p for the (a) SiOx, and (b) SiOx@TiO2 NPs.

Figure 3 shows the resistive switching performance of the SiOx and SiOx@TiO2 NPs devices. First, the voltage is swept to transit from the pristine state to LRS with the compliance current of 0.1 mA. Both devices show bipolar resistive switching behavior with SET process, which is obtained by sweeping the negative voltage, while the RESET process is obtained by sweeping the positive voltage. These processes can be reversibly changed by controlling the voltage. In detail, the SiOx device switches from HRS to LRS (SET process) at − 1.7 V, while the device switches continuously from LRS to HRS (RESET process) by applying voltage to 2.0 V. For the SiOx@TiO2 NPs device, the SET process occurs at − 1.1 V, which is smaller than the SET voltage of the SiOx device. In addition, different from the SiOx device, the RESET process is obtained twice over; the first RESET process appears at 0.7 V, then the second RESET process with slight resistance change is obtained while increasing the voltage to 2.0 V. The switching speed is obtained that the RSs change under the pulse width of 3 us in SiOx device. In case of SiOx@TiO2 NPs device, the RSs transit with the pulse width of 200 ns, as shown in Fig. 3a and b. To evaluate the reliability of devices, the retention and endurance were conducted for SiOx and SiOx@TiO2 NPs. The retention test was performed by probing each LRS and HRS for 103 s at room temperature, and reading the current at 0.1 V. The SiOx device maintains the LRS/HRS ratio of approximately 20 for 103 s. For the SiOx@TiO2 NPs device, three well-defined RSs (LRS, HRS1, and HRS2) are maintained for 103. Also, the dotted lines indicate the expectation of the lifetime of two devices. The SiOx device shows the expectation of the lifetime almost 103 s, otherwise the SiOx@TiO2 NPs device is expected to the lifetime above 104 s. To examine the endurance performance, the SET/RESET cycling test was conducted for 102 times, and the current level was recorded at 0.1 V. Both devices show a stable LRS/HRS ratio for 102 cycles. In particular, the SiOx@TiO2 NPs exhibits the multiple RSs for 102 cycles. Moreover, the endurance of SiOx@TiO2 NPs is evaluated for 104 cycles under the pulse width of 200 ns. The device shows the stable operation during 104 cycles. Long retention time and stable endurance indicate the high reliability of the solution processed SiOx-based resistive switching devices. The distribution of SET and RESET voltages are measured for 102 times, and the current level was recorded at 0.1 V for examining the cycle-to-cycle variability of devices. Both devices show a stable LRS/HRS ratio for 102 cycles. Especially, the SiOx@TiO2 NPs exhibits the multiple RSs for 102 cycles, and the stable resistive switching occurs in the SiOx@TiO2 NPs device, compared to SiOx device. The stable endurance, retention, cycle-to-cycle variability performance indicate the high reliability of the solution processed SiOx-based resistive switching devices. From the resistive switching characteristics, it is concluded that the SiOx@TiO2 NPs device can be expected to high performance and low-power non-volatile memory due to a lower operation voltage, higher on/off ratio, and fast switching speed23. Moreover, due to multi-level switching, the SiOx@TiO2 NPs device is also applicable to multi-level memory.

I–V characteristics, switching speed, retention (dotted lines indicate the expectation of lifetimes for the devices), endurance, and cycle-to-cycle variability of the (a) SiOx and (b) SiOx@TiO2 NPs resistive switching devices.

To discover the origin of the enhancement of performance in the SiOx@TiO2 NPs device, we clarified the resistive switching mechanism of the SiOx and SiOx@TiO2 NPs devices. The I–V curves are re-plotted as log I–log V, as shown in Fig. 4a and b. In the SET process of the SiOx resistive switching device, the I–V curve of the HRS exhibits trap-controlled space charge limited current (SCLC) conduction, which is composed of three parts: the Ohmic region (I ∝ V), the Child's law region (I ∝ V2), and the steeply increasing region (I ∝ Vn, n > 2)37. The oxygen vacancies in the SiOx matrix serve as an electron trap, and form the conductive filament. Thus, the migration of oxygen vacancies is an important role in the deviation of slopes. In the high-voltage region, all traps are filled with electrons, and excessive electrons flow through the conduction band of SiOx (achievement of the SET process). The I–V curve of the LRS shows a linear Ohmic behavior with a slope of 1.07. Likewise, the RESET process is also in good agreement with the trap-controlled SCLC mechanism in HRS. In the SiOx@TiO2 NPs, the resistive switching mechanism is similar to that of the SiOx device, as shown in Fig. 4b. The electrons are transported according to traps, such as oxygen vacancies, into the SiOx matrix, as well as TiO2 NPs, and with the application of high voltage, then flow into the conduction band of SiOx and TiO2. This behavior is associated with the bulk-controlled mechanism, such as the conductive filament model based on oxygen vacancy. As a result, the resistive switching mechanism of the SiOx and SiOx@TiO2 NPs devices based on the conductive path can be dominated by valence change memory. The traps are a key factor to form the conductive paths into oxide matrix.

Log I–log V plot of the I–V curves of the (a) SiOx, and (b) SiOx@TiO2 NPs in the SET and RESET processes.

Furthermore, to predict the difference in conduction mechanism based on the oxygen vacancies (VO) defects of the SiOx and SiOx@TiO2 NPs devices, DFT calculations for the defects in each oxide were conducted, as shown in Fig. 5. By considering stable crystal structure, α phase of SiO2 and the anatase phase of TiO2 were chosen for the calculations. Figure 5a and b show the Fermi level-dependent formation energies of the VO defects (VOn, where n = (− 2, − 1, 0, + 1, and + 2)) and the band structures containing the energy levels for each charging state of oxygen vacancies in SiO2 and TiO2, respectively. In addition, in the case of the VO in SiO2, the + 2 is the stable charging state for the energy range (0.0–3.2) eV, 0 is stable for the range (3.2–6.6) eV, and − 2 becomes stable above 6.6 eV. For the TiO2, the + 2 charging state is found to be the most stable state over the entire range of bandgap. Considering the Fermi level of SiO2 and TiO2, which is measured in the valance band spectrum of XPS, the most stable charging states of VO are 0 and + 2 for SiO2 and TiO2, respectively. Since the formation energy of VO in TiO2 is smaller than that of the VO in SiO2, VO is more easily generated in TiO2 than in SiO2. Moreover, since the e-field induced migration of VO can occur for charged states, VO in TiO2 that has 2 + charging states can migrate with smaller e-field.

(a) Structural image of the SiOx, and (b) TiO2-x. (c) Defect states of the stable charge states of oxygen vacancies (VO) in SiOx, and (d) Fermi level-dependent formation energy of charge states of the VO defects in SiOx. (e) Defect state of the stable charge of VO in the SiOx@TiO2 NPs, and (f) Fermi level-dependent formation energy of the charge states of VO defects in the SiOx@TiO2 NPs.

Figure 5c and d show the schematic energy band diagrams of the SiOx and SiOx@TiO2 NPs devices from the results of energy levels for stable charging states. Band alignments are estimated based on the valance band spectrum in XPS. For both the SiO2 and TiO2 systems, VO generates defect states in the bandgap, thus the resistance change of the RERAM device can occur through the generation (SET) and curing (RESET) of VO in oxide. However, considering the band alignment, the activation energy from the Fermi level to defect states is smaller in TiO2 than in SiO2. In addition, the barrier for carrier injection from ITO electrode is lower in TiO2 with VO than in SiO2 with VO. Therefore, the resistance of LRS in TiO2 is lower than the resistance of LRS in SiO2.

To understand the formation/rupture of the conductive path based on the oxygen vacancies for the SiOx and SiOx@TiO2 NPs devices, Fig. 6 shows the stochastic circuit breaker (CB) simulation that was conducted. The simulation method was benchmarked to previous research, as reported by Brivio et al.38 In the SiOx resistive switching device, both the experimental and simulated results show good agreement, which is also exhibited by the bipolar resistive switching behavior. The relative relations between parameters for SiO2 and TiO2 in CBs were determined based on DFT calculation. Table 1 tabulates the parameters. Figure 6b shows that the resistances of CBs are initialized with two values of Rhigh,S and Rlow,S to simulate the insulating oxide and conducting oxide layer, such as SiO2 and oxygen-deficient SiO2-x, respectively. To emulate the oxygen-deficient SiOx matrix, most of the CBs are initialized with Rhigh,S, while the rest of the CBs are initialized with Rlow,S in the initial state. In this case, the ratio of Rhigh,S:Rlow,S is set to approximately 6:4, and the switching probabilities of Rhigh,S and Rlow,S depend on the electric field and temperature by Joule heating. On applying the negative volage, a few of the CBs are changed from Rhigh,S to Rlow,S in sequence from #1 to #2 in Fig. 6b. Then, the voltage is applied above the SET voltage (> − 1.7 V), almost all CBs abruptly transform to Rlow,S, and the device achieves the SET process, as shown in #3 of Fig. 6b. In contrast, when the positive voltage is swept to the RESET voltage, Rhigh,S is continuously increased, then the CB network finally reaches the RESET process (according to the blue arrows in sequence from #3 to #5 in Fig. 6b). This cycle of SET/RESET is reversibly obtained on sweeping the voltage. Likewise, the experimental I–V curve of the SiOx@TiO2 NPs device agrees well with the simulated result, as shown in Fig. 6c. In Fig. 6 d, the maps of CB network are composed with four values of CBs of Rlow,S, Rhigh,S, Rlow,T, and Rhigh,T, which indicate SiO2-x, SiO2, TiO2-x, and TiO2, respectively. Initially, almost all CBs with Rhigh,S and Rlow,S are randomly allocated in places. Based on the XPS analysis, the ratio of oxygen deficient Rlow,S is equalized to that of the SiOx device. The Rhigh,T and Rlow,T of TiO2 NPs are also randomly distributed with the proportion of about 5%, to mimic the TiO2 NPs inserted SiOx matrix. Similarly, the initial ratio of Rhigh,S:Rlow,S and Rhigh,T:Rlow,T is established to be about 6:4, respectively, as shown in Fig. 6d. On increasing the negative voltage, the CBs related to TiO2 NPs are more rapidly transited from Rhigh,T to Rlow,T than those of SiO2 under the applied voltage. And, when further voltage is applied to the SiOx@TiO2 NPs device, the CBs related to SiOx are also changed from Rhigh,S to Rlow,S, and the SET process is achieved according to the red arrows (in sequence from #1 to #3) in Fig. 6d. This is related to the TiO2 NPs assisting the construction of the conductive path in SiOx@TiO2 NPs, and causes lower SET voltages than that of the pristine SiOx device. Under the positive voltage sweeps, the CBs of TiO2 NPs are rapidly changed from Rlow,T to Rhigh,T, while the CBs of SiOx are slightly transited. Also, the first-RESET process can be achieved in sequence from #3 to #4, as shown in Fig. 6d. On further increasing the positive voltage, the Rhigh,S is increased, then the RS gradually reaches second-HRS (in sequence from #4 to #6 in Fig. 6d). The 2-step RESET processes can be obtained by controlling the RESET voltages.

(a) and (c) Comparison of the experimental and simulated curves, and (b) and (d) maps of the circuit breakers with applying the voltages to the SiOx and SiOx@TiO2 NPs resistive switching devices.

In this study, the simulation method, which is simply expressed by the formation/rupture of conductive path with the stochastic CB model in the case of oxygen-deficient oxide matrix and nanoparticle-inserted oxide resistive memory devices, enables lower computational load for each CB network simulation than the conventional simulation methods. From the CB simulation, the conductive path based on the oxygen vacancies is stochastically examined under sweeping the external voltage. The difference in the switching of the SiOx and SiOx@TiO2 NPs devices, such as the multiple-RESET, lowering switching voltage, and increase of on/off ratio, can be obtained by inserting the TiO2 NPs.

In our case, the SET process is abrupt by applying the negative voltages, which is related to the electric field inducing the defect migration, and then causing an increase of the current. In contrast, the gradual RESET process is due to the conductive filament being gradually ruptured when the positive voltage is applied to the devices11,39. Also, the improvement of the LRS/HRS ratio is noteworthy, as shown in Fig. 3a and b. This can be correlated to the environment for conductive filament growth inside the RS layer being changed due to the insertion of TiO2 NPs40. By applying the positive voltage, the conductive filament can easily rupture due to the existence of TiO2 NPs inside the SiOx matrix, and carriers have difficulty in flowing inside the RS layer. Therefore, the current level of HRS for the SiOx@TiO2 NPs is lower than that of the SiOx device.

We demonstrate the improvement of the resistive switching behavior in the solution processed SiOx device by the insertion of TiO2 NPs, which is structured with ITO/SiOx@TiO2 NPs/ITO on glass substrate. The SiOx@TiO2 NPs resistive switching device exhibits stable bipolar resistive switching behavior. Also, outstanding switching characteristics, such as the higher ratio of SET/RESET, lower SET/RESET voltages, improved cycle-to-cycle variability, faster switching speed, and controllable multiple-RSs (LRS, HRS1, and HRS2) by applying voltages, can be obtained, in comparison to the pristine SiOx device. Based on stochastic circuit breaker simulation, we can conclude that the enhancement in switching performance in the SiOx@TiO2 NPs originates from the difference in the formation and rupture of conductive filament by the inserted TiO2 NPs.

SiOx solution was synthesized by the sol–gel polymerization of silicon alkoxides. First, ethanol (C2H5OH, Aldrich, 99.9%) and deionized (DI) water were thoroughly stirred. A few minutes later, tetraethyl orthosilicate (TEOS, Si(OC2H5)4, Aldrich) was added as starting material. After that, hydrochloric acid (HCl, Merck, 37%) was added dropwise to the solution for 4 h, and then 0.1 M of sodium hydroxide (NaOH, Merck) was added for 16 h41,42. During the synthetic process, the solution was vigorously stirred at 500 rpm. After synthesis, the colorless and transparent SiOx solution finally resulted.

To fabricate the SiOx-based resistive switching memory device with cross-bar array architecture, the lift-off process was conducted on glass substrate26. The SiOx solution diluted with ethanol was dropped on the patterned bottom electrode (BE), and spin-coated for deposition of 50 nm thick SiOx at 5000 rpm for 60 s. In the case of SiOx@TiO2 NPs film, SiOx solution and TiO2 NPs dispersed solution were mixed in the ratio of 1:7, and then spin-coated at the same condition. After that, the SiOx and SiOx@TiO2 NPs films were dried at 80 °C for 20 min in oven, and then annealed using furnace at 450 °C for 1 h. The top electrode (TE) was also formed by using the lift-off process, and the cross-bar array ReRAM architecture was finally obtained with active device of 20 µm × 20 µm. During XPS measurement, Ar ion sputtering was conducted at 500 V for 10 s to eliminate carbon contamination on the surface.

The cross-sectional specimens were prepared with a focused ion beam (FIB, FEI Helios 650) system, and field effect transmission electron microscopy (TEM, JEOL Ltd. JEM-F200) was obtained. The composition was examined by time-of-flight secondary-ion mass spectrometry (ToF–SIMS, IONTOF, TOFSIMS5) with 30 keV of Bi ion with spot size of 35 µm × 35 µm, and the depth profile was obtained with 2 keV of O ion sputtering.

To investigate the composition and chemical bonding state of the SiOx and SiOx@TiO2 NPs, X-ray photoelectron spectroscopy (XPS, ESCA Versaprobe II) was conducted by monochromatic X-ray radiation at energy hv = 1486.7 eV (Al Kα source) with pass energy of 29.5 eV. The resistive switching behavior was observed using current–voltage (I–V), which was measured by semiconductor analyzer (Keithley-4200). To contact the bottom electrode, the upper SiOx layer was lightly scraped off using a thin tip because the bottom electrode was completely covered with SiOx layer. During I–V measurement, the voltage was applied to TE, and BE was grounded. The electronic structure of the SiOx and TiO2 NPs inserted SiOx system, and density functional theory (DFT) calculations, were conducted with the Vienna Ab Initio Simulation Package (VASP) with MedeA GUI43,44. Electronic structures for the α-phase of SiO2 and the anatase phase of TiO2 were considered to predict the ReRAM switching in the SiOx and TiO2 NPs inserted SiOx system. For all calculations, we used the PBEsol functional with 500 eV cut-off energy45,46,47. During unit cell calculation, to have the k-spacing of less than 0.2/Å, we chose a 9 × 9 × 7 and 9 × 9 × 5 grid of k-points for SiO2 and TiO2, respectively. Geometric optimization was performed using an RMM-DIIS algorithm, iterated until the 0.01 eV/Å condition was satisfied. To determine the electronics structure of defects in SiO2 and TiO2, we generated 2 × 2 × 1 supercell for both SiO2 and TiO2. Single oxygen vacancy in various charging states (VO++, VO+, VO0, VO–, VO–) were generated in both supercell structures, and geometrically optimized until the 0.01 eV/Å condition was satisfied with a 5 × 5 × 3 grid of k-points and 5 × 5 × 3 grid of k-points for SiO2 and TiO2, respectively. Calculation with hybrid function (HSE06) was performed to evaluate the accurate position of defect states for optimized structures that contained defects48. The formation energy of the charged defect was computed using Eq.49:

where E(q) is the total energy of the supercell with charge q, E(n) is the total energy of a neutral supercell, µe is the chemical potential of electron (Fermi level), and ΔV is the shift of energy level of the valance band maximum. To explain the resistive switching mechanism based on formation/rupture of oxygen vacancies circuit breaker (CB) modeling was conducted using Matlab program. The CB network was connected by horizontal and vertical CB resistors (90 × 30), which were composed with two resistances of Rlow and Rhigh. During the CB modeling, the voltage was applied to all nodes in the top lines, while all nodes in the bottom lines were grounded.

All data generated or analyzed during this study are included in this published article.

Waser, R. & Aono, M. Nanoionics-based resistive switching memories. Nat. Mater. 6(11), 833–840 (2007).

Article ADS CAS PubMed Google Scholar

Yang, Y. C., Pan, F., Liu, Q., Liu, M. & Zheng, F. Fully room-temperature-fabricated nonvolatile resistive memory for ultrafast and high-density memory application. Nano Lett. 9(4), 1636–1643 (2009).

Article ADS CAS PubMed Google Scholar

Torrezan, A. C., Strachan, J. P., Medeiros-Ribeiro, G. & Williams, R. S. Sub-nanosecond switching of a tantalum oxide memristor. Nanotechnology 22(48), 485203 (2011).

Article PubMed CAS Google Scholar

Yang, J. J. et al. Memristive switching mechanism for metal/oxide/metal nanodevices. Nat. Nanotechnol. 3(7), 429–433 (2008).

Article CAS PubMed Google Scholar

Sun, B., Zhou, G., Xu, K., Yu, Q. & Duan, S. Self-powered memory systems. ACS Matter. Lett. 2, 1669–1690 (2020).

Article CAS Google Scholar

Wang, Z. et al. Resistive switching materials for information processing. Nat. Rev. Mater. 5, 173–195 (2020).

Article ADS CAS Google Scholar

Maikap, S. & Banerjee, W. In quest of nonfilamentary switching: A synergistic approach of dual nanostructure engineering to improve the variability and reliability of resistive random-access-memory devices. Adv. Electron. Mater. 6(6), 2000209 (2020).

Article CAS Google Scholar

Sun, B. et al. A battery-like self-selecting biomemristor from earth-abundant natural biomaterials. ACS Appl. Bio Mater. 4, 1976–1985 (2021).

Article CAS PubMed Google Scholar

Gong, N. et al. Signal and noise extraction from analog memory elements for neuromorphic computing. Nat. Commun. 9(2102), 17 (2018).

Google Scholar

Ginnaram, S., Qiu, J. T. & Maikap, S. Role of the Hf/Si interfacial layer on the high performance of MoS2-Based conductive bridge RAM for artificial synapse application. IEEE Electron Dev. Lett. 41(5), 709–712 (2020).

Article ADS CAS Google Scholar

Dutta, M., Senapati, A., Ginnaram, S. & Maikap, S. Resistive switching memory and artificial synapse by using Ti/MoS2 based conductive bridging cross-points. Vacuum 176, 109326 (2020).

Article ADS CAS Google Scholar

Strukov, D. B., Snider, G. S., Stewart, D. R. & Williams, R. S. The missing memristor found. Nature 453, 80–83 (2008).

Article ADS CAS PubMed Google Scholar

Huang, Y. et al. Amorphous ZnO based resistive random access memory. RSC Adv. 6, 17867–17872 (2016).

Article ADS CAS Google Scholar

Ambrosi, E., Bricalli, A., Laudato, M. & Ielmini, D. Impact of oxide and electrode materials on the switching characteristics of oxide ReRAM devices. Faraday Discuss. 213, 87–98 (2019).

Article ADS CAS PubMed Google Scholar

Wedig, A. et al. Nanoscale cation motion in TaOx, HfO2, and TiOx memristive systems. Nat. Nanotechnol. 11, 67–74 (2016).

Article ADS CAS PubMed Google Scholar

Furuta, S. et al. Dependence of electric properties of a nanogap junction on electrode material. Jpn. J. Appl. Phys. 47, 1806–1812 (2008).

Article ADS CAS Google Scholar

Ji, L. et al. Integrated one diode-one resistor architecture in nanopillar SiOx resistive switching memory by nanosphere lithography. Nano Lett. 14(813–818), 18 (2014).

ADS Google Scholar

Chang, Y.-F. et al. Intrinsic SiOx-based unipolar resistive switching memory. II. Thermal effects on charge transport and characterization of multilevel programing. J. Appl. Phys. 116, 043709 (2014).

Article ADS CAS Google Scholar

Roy, S. et al. Comparison of resistive switching characteristics by using e-gun/sputter deposited SiOx film in WSiOx/TiN structure and pH/creatinine sensing through iridium electrode. J. Alloy. Compd. 726(5), 30–40 (2017).

Article CAS Google Scholar

Ambrogio, S., Balatti, S., McCaffrey, V., Wang, D. C. & Ielmini, D. Resistive switching device technology based on silicon oxide for improved ON-OFF ratio-Part I: Memory devices. IEEE Trans. Electron Devices 62(11), 3812–3819 (2015).

Article ADS Google Scholar

Wang, J. et al. Multilevel and long retentive resistive switching in low temperature nanostructured Cu/SiOx-W-SiOx/Pt. Appl. Phys. Lett. 103, 212903 (2013).

Article ADS CAS Google Scholar

Wang, Y. et al. Effects of sidewall etching on electrical properties of SiOx resistive random access memory. Appl. Phys. Lett. 103, 213505 (2013).

Article ADS CAS Google Scholar

Wang, G. et al. Nanoporous silicon oxide memory. Nano Lett. 14(8), 4694–4699 (2014).

Article ADS CAS PubMed Google Scholar

Yao, J., Sun, Z., Zhong, L., Natelson, D. & Tour, J. M. Resistive switches and memories from silicon oxide. Nano Lett. 10, 4105–4110 (2010).

Article ADS CAS PubMed Google Scholar

Liu, C.-Y., Chiang, K.-C. & Lai, C.-H. Resistive memory properties of an electrochemical SiO2-based device without an active electrode. J. Vac. Sci. Technol. A 34, 02D102 (2016).

Article CAS Google Scholar

Hu, Q. et al. Modulation of resistive switching in Pt/LiCoO2/SiO2/Si stacks. J. Mater. Sci. 30, 4753–4759 (2019).

CAS Google Scholar

Carlos, E., Branquinho, R., Martins, R., Kiazadeh, A. & Fortunato, E. Recent progress in solution-based metal oxide resistive switching devices. Adv. Mater. 33(7), 2004328 (2020).

Article CAS Google Scholar

Kim, S. et al. Dual functions of V/SiOx/AlOy/p++Si device as selector and memory. Nanoscale Res. Lett. 13, 252 (2018).

Article ADS PubMed PubMed Central CAS Google Scholar

Yang, Y. et al. Observation of conducting filament growth in nanoscale resistive memories. Nat. Commun. 3, 732 (2012).

Article ADS PubMed CAS Google Scholar

Li, P. et al. Room-temperature, solution-processed SiOx via photochemistry approach for highly flexible resistive switching memory. ACS Appl. Interfaces 12(50), 56186–56194 (2020).

Article CAS Google Scholar

Kwon, S., Kin, M.-J. & Chung, K.-B. Multi-level characteristics of TiOx transparent non-volatile resistive switching device by embedding SiO2 nanoparticles. Sci. Rep. 11, 9883 (2021).

Article ADS CAS PubMed PubMed Central Google Scholar

Gonzalez-Garcia, L., Gonzalez-Valls, I., Lira-Cantu, M., Barranco, A. & Gonzalez-Elipe, A. R. Alignes TiO2 nanocolumnar layers prepared by PVD-GLAD for transparent dye sensitized solar cells. Energy Environ. Sci. 4, 3426–3435 (2011).

Article CAS Google Scholar

Birer, O., Sayan, S., Suzer, S. & Aydinli, A. XPS investigation of thin SiOx and SiOxNy overlayers. J. Mol. Struct. 480–481, 611–614 (1999).

Article ADS Google Scholar

Alfonsetti, R., Lozzi, L., Passacantando, M., Picozzi, P. & Santucci, S. XPS studies on SiOx thin films. Appl. Surf. Sci. 70–71(222–225), 20 (1993).

Google Scholar

Jiang, H. et al. Bias-polarity-dependent resistance switching in W/SiO2/Pt and W/SiO2/Si/Pt structures. Sci. Rep. 6, 22216 (2016).

Article ADS CAS PubMed PubMed Central Google Scholar

Luo, Y.-R. Comprehensive Handbook of Chemical Bond Energies (CRC Press, Florida, 2007).

Book Google Scholar

Mikhaylov, A. N. et al. Bipolar resistive switching and charge transport in silicon oxide memristor. Mater. Sci. Eng. B 194, 48–54 (2015).

Article CAS Google Scholar

Brivio, S. & Spiga, S. Stochastic circuit breaker network model for bipolar resistance switching memories. J. Comput. Electron. 16, 1154–1166 (2017).

Article CAS Google Scholar

Kim, D., Park, J. H., Jeon, D. S., Dongale, T. D. & Kim, T. G. Ta2O5-y based ReRAM device with annealing-free Ag:ZrNx-based bilayer selector device. J. Alloy. Compd. 854, 157261 (2021).

Article CAS Google Scholar

Li, Y., Long, S., Liu, Q., Lv, H. & Liu, M. Resistive switching performance improvement via modulating nanoscale conductive filament, involving the application of two-dimensional layered materials. Small 13, 1604306 (2017).

Article CAS Google Scholar

Buckley, A. M. & Greenblatt, M. The sol–gel preparation of silica gels. J. Chem. Educ. 71, 599–602 (1994).

Article CAS Google Scholar

Reiser, J. T., Ryan, J. V. & Wall, N. A. Sol–gel synthesis and characterization of gels with compositions relevant to hydrated glass alteration layers. ACS Omega 4, 16257–16269 (2019).

Article CAS PubMed PubMed Central Google Scholar

Kresse, G. & Joubert, D. From ultrasoft pseudopotentials to the projector augmented-wave 21 method. Phys. Rev. B 59(3), 1758–1775 (1999).

Article ADS CAS Google Scholar

Kresse, G. & Furthmuller, J. Efficiency of ab-initio total energy calculations for metals and semiconductors using a plan-wave basis set. Comput. Mater. Sci. 6(1), 15–50 (1996).

Article CAS Google Scholar

Perdew, J. P. et al. Restoring the density-gradient expansion for exchange in solids and surfaces. Phys. Rev. Lett. 100, 136406 (2008).

Article ADS PubMed CAS Google Scholar

Perdew, J. P. et al. Perdew et al. Reply. Phys. Rev. Lett. 101, 239702 (2008).

Article ADS CAS Google Scholar

Mattsson, A. E., Armiento, R. & Mattsson, T. R. Comment on "Restoring the density-gradient expansion for exchange in solids and surfaces". Phys. Rev. Lett. 101, 239701 (2008).

Article ADS PubMed CAS Google Scholar

Heyd, J. & Scuseria, G. E. Hybrid functionals based on a screened coulomb potential. J. Chem. Phys. 118, 8207 (2003).

Article ADS CAS Google Scholar

Zhang, S. B. & Northrup, J. E. Chemical potential dependence of defect formation energies in GaAs: Application to Ga self-diffusion. Phys. Rev. Lett. 67, 2339–2342 (1991).

Article ADS CAS PubMed Google Scholar

Download references

This work was supported by the Industry technology RandD program (20016319) and by the Technology Innovation Program (2001496) funded by the Ministry of Trade, Industry and Energy (MOTIE, Korea). This work was also supported by Samsung Display Co.,Ltd.

Division of Physics and Semiconductor Science, Dongguk University, Seoul, 04620, Republic of Korea

Sera Kwon, Min-Jung Kim, Kwangsik Jeong & Kwun-Bum Chung

Department of Materials Science and Engineering, UNIST, Ulsan, 44919, Republic of Korea

Dong-Hyeok Lim

You can also search for this author in PubMed Google Scholar

You can also search for this author in PubMed Google Scholar

You can also search for this author in PubMed Google Scholar

You can also search for this author in PubMed Google Scholar

You can also search for this author in PubMed Google Scholar

S.K. wrote the original draft with help of D.H.L., K.J., and K.B.C. S.K. conducted the experiment and evaluated the data with help of M.J.K., and K.J. K.J. performed the DFT calculation, and D.H.L. performed the CB simulation. All authors approved the final version of the manuscript.

Correspondence to Kwangsik Jeong or Kwun-Bum Chung.

The authors declare no competing interests.

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Open Access This article is licensed under a Creative Commons Attribution 4.0 International License, which permits use, sharing, adaptation, distribution and reproduction in any medium or format, as long as you give appropriate credit to the original author(s) and the source, provide a link to the Creative Commons licence, and indicate if changes were made. The images or other third party material in this article are included in the article's Creative Commons licence, unless indicated otherwise in a credit line to the material. If material is not included in the article's Creative Commons licence and your intended use is not permitted by statutory regulation or exceeds the permitted use, you will need to obtain permission directly from the copyright holder. To view a copy of this licence, visit http://creativecommons.org/licenses/by/4.0/.

Reprints and Permissions

Kwon, S., Kim, MJ., Lim, DH. et al. Controlling resistive switching behavior in the solution processed SiO2-x device by the insertion of TiO2 nanoparticles. Sci Rep 12, 8405 (2022). https://doi.org/10.1038/s41598-022-12476-y

Download citation

Received: 23 February 2022

Accepted: 04 May 2022

Published: 19 May 2022

DOI: https://doi.org/10.1038/s41598-022-12476-y

Anyone you share the following link with will be able to read this content:

Sorry, a shareable link is not currently available for this article.

Provided by the Springer Nature SharedIt content-sharing initiative

By submitting a comment you agree to abide by our Terms and Community Guidelines. If you find something abusive or that does not comply with our terms or guidelines please flag it as inappropriate.